先進低功耗設計盡現Olympus-SoC佈局繞線平台中

本文作者:admin       點擊: 2009-04-23 00:00
前言:
明導國際宣佈在Olympus-SoC佈局繞線系統平台上為因應低功耗IC實體設計流程而開發的各項新功能已臻完成,可立即滿足客戶的需求。低功耗流程處理能力是以先進製程為目標而開發並利用Olympus-SoC已獲產品驗證的變異性設計架構解決設計模式變異性、製程邊角以及生產上的種種最佳化的問題。與傳統解決方案的結果比較起來,Olympus-SoC的客戶使用了這些低功耗流程中的新功能之後,除了享受到2到3倍更快的設計收斂時間並且節省了30%的功耗。

Olympus-SoC的低功耗解決方案包括為處理多電壓設計流程的自動化而開發的靈活架構以及各項先進的技術用以降低複雜的時脈樹上的功耗。Olympus-SoC也具備跨越多重邊角多重模式(Multi-Corner Multi-Mode)環境的能力,同時讓減緩漏洩功耗與動態功耗以及時序與訊號完整性等,於不同環境下臻於最佳化。

“低功耗特性已經成為先進行動運算的各項需求中最重要的設計指標,”富士通微電子公司技術開發部總經理Noboru Yokota表示,”因為我們將與明導國際攜手合作的先進低功耗能力吸收到我們的設計方法中,Olympus-SoC平台已經成為我們設計收斂系統中不可或缺的一部分。我們已經評估過包含了多電壓、多角多模時脈樹合成(MCM-CTS)、時脈樹重構、時脈偏移調整、偏斜定形(slew shaping)等先進的低功耗技術,其結果令人印象深刻,不僅節省了整體功耗同時也達成了在所有模式及邊角上的設計收斂。”

低功耗設計的挑戰
目前被使用來降低整體功耗的主流技術之一的多電壓設計是一項複雜且費時的工作。由於許多模塊是在不同的電壓之下操作,甚至部份的模塊的電源可能會不定時地被關閉,這將大大增加了功耗組態的數目,且使原本已經十分複雜的多角多模問題更形惡化。市場上現行的佈局繞線系統本身並未具備多角多模的處理能力,因此無法有效率地掌控功耗與時序同步最佳化等複雜的問題。此外,由於時脈樹網絡上的功率消耗佔去整個晶片功耗相當大的比例,設計者需要一個能將功耗考慮在內的時脈樹合成解決方案來處理因實際尺寸縮小而帶來的繞線電阻及電阻變異性大增等問題。最後,由於更多的功能必須被裝進晶粒中造成了設計的尺寸呈現指數型式增加,尤其對行動應用的元件來說更是如此。對於無力掌控大型設計的現行工具而言,設計者被迫將設計切分為許多工具能處理的小模塊,但這將讓頂層晶片整合的設計收斂工作更加複雜化。

Olympus-SoC低功耗設計平台展現全面性的功率控管能力
Olympus-SoC佈局繞線平台廣泛地處理各項低功耗設計相關的要求,使得工程師們能夠快速地提交完全最佳化且具高功率效益的結果,並保證整體解決方案的最佳化過程中不會出現多餘的反覆運算。Olympus_SoC系統包含了下列主要技術來處理低功耗的挑戰並提交最高品質的結果:
 完全自動化多電壓流程支援動態電壓頻率調節(DVFS)功能來處理變動的供應電壓與時脈頻率,並具備處理如電平位移器(level shifter)及隔離元件(isolation cell)等特殊元件的能力。
 功耗感知時脈樹合成功能具有聰明的時脈閘控佈局、偏斜造形、暫存器叢集及同步多角多模最佳化等功能,保證使用最少量的時脈緩衝器合成出平衡的時脈樹。
 唯一能夠提供無縫式同步對功耗及時序兩者進行最佳化的架構,並且在整個流程的所有步驟中均能兼顧所有的設計模式及製程邊角。
 以統一功率格式(UPF)為基礎的netlist-to-GDSII流程,其中包括支援功率組態定義的表格

此外Olympus-SoC產品也提供各種低功耗設計功能,如同步多閾值最佳化、使用MTCMOS開關元件進行功耗閘控、保存觸發器合成、加油站(gas station)方法以及功耗感知緩衝及尺寸調整。Olympus-SoC系統的架構原本就是因應目前大規模且複雜的低功耗SoC而設計,擁有在平坦模式下直接處理超過1億門邏輯閘以上的大型設計的能力。完全多執行緒分析引擎以及業界唯一的完全平行化處理時序及最佳化的引擎,在多核心與多CPU運算平台上提供了高達7倍的速度提昇。

電子郵件:look@compotechasia.com

聯繫電話:886-2-27201789       分機請撥:11